Diferencia entre revisiones de «VHDL»

Contenido eliminado Contenido añadido
Luckas-bot (discusión · contribs.)
m r2.7.1) (robot Añadido: el:VHDL
Línea 421:
<source lang="VHDL">
entity MUX2a1 is
port( a: in std_logicstdlogic;
b: in std_logic;
sel: in std_logic;