Diferencia entre revisiones de «VHDL»

Contenido eliminado Contenido añadido
BenjaBot (discusión · contribs.)
m Correcciones ortográficas
MomijiRoBot (discusión · contribs.)
m Bot: ==== Sintaxis para la definición de la arquitectura ==== → === Sintaxis para la definición de la arquitectura ===, ==== Operadores en VHDL ==== → === Operadores en VHDL ===, ====… ,∵Corregido el nivel jerárquico de la sección PR:CW#25
Línea 171:
</source>
 
==== Sintaxis para la definición de la arquitectura ====
La sintaxis para la declaración de la arquitectura es la siguiente (aparecen en mayúscula las palabras reservadas del lenguaje VHDL, pero esto no es necesario):
 
Línea 200:
Todas las señales, variables y constantes en VHDL tienen asociadas un tipo, este especifica el conjunto de valores que el objeto puede tomar. También hay un conjunto de operadores tales como add, and etc, asociados con un tipo dado.
 
==== Operadores en VHDL ====
En VHDL existen diferentes operadores entre los que tenemos:
 
Línea 305:
Si se declara (0 to 3), entonces el LSB es a(3) y el MSB es a(0).
 
==== Bibliotecas ====
Una biblioteca en VHDL es un lugar en donde se guarda la información relacionada con un diseño determinado. Al comienzo de cada diseño el compilador crea automáticamente una biblioteca llamada WORK con este objetivo. Además de esta biblioteca particular existen otras bibliotecas de tipo general que contienen un conjunto de definiciones que pueden utilizarse en cualquier diseño. Un ejemplo de biblioteca general es la llamada Library IEEE, que contiene definiciones estándar para VHDL. Para utilizar una biblioteca general es necesario escribir su nombre al inicio del programa, por eso es muy común que en la primera línea de un diseño en VHDL aparezca escrito "Library IEEE", de esta forma dicha biblioteca se hace visible para el diseño.
 
==== Paquetes ====
En los paquetes se guardan definiciones de tipos y objetos que pueden ser utilizados en los diferentes diseños que invoquen su utilización. Un paquete muy utilizado es el paquete estándar IEEE_STD_LOGIC_1164.ALL; La utilización de un paquete en un diseño se realiza invocando su empleo mediante la cláusula USE y el nombre del paquete. Por ejemplo USE IEEE_STD_LOGIC_1164.ALL;